Module Memo

module Memo: sig .. end
Extensio to Core.Memo

val general_rec : (('a -> 'b) -> 'a -> 'b) -> 'a -> 'b
A version of Memo.general more suitable for memoizing recursively-defined functions
val reentrant_unit : (unit -> 'a) -> unit -> 'a