Up

Module Core_array

Signature

type 'a t = 'a array
val t_of_sexp : (Sexplib.Sexp.t -> 'a) -> Sexplib.Sexp.t -> 'a t
val sexp_of_t : ('a -> Sexplib.Sexp.t) -> 'a t -> Sexplib.Sexp.t
val compare : ('a -> 'a -> int) -> 'a t -> 'a t -> int
val bin_read_t : 'a Bin_prot.Read.reader -> 'a t Bin_prot.Read.reader
val __bin_read_t__ : 'a Bin_prot.Read.reader -> (int -> 'a t) Bin_prot.Read.reader
val bin_size_t : 'a Bin_prot.Size.sizer -> 'a t Bin_prot.Size.sizer
val bin_write_t : 'a Bin_prot.Write.writer -> 'a t Bin_prot.Write.writer
include Binary_searchable.S1 with type 'a t := 'a t
type 'a t
val binary_search : ('a t, 'a) Binary_searchable_intf.binary_search
val binary_search_segmented : ('a t, 'a) Binary_searchable_intf.binary_search_segmented
include Container.S1 with type 'a t := 'a t
type 'a t
val mem : ?equal:('a -> 'a -> bool) -> 'a t -> 'a -> bool

Checks whether the provided element is there, using polymorphic compare if equal is not provided

val length : 'a t -> int
val is_empty : 'a t -> bool
val iter : 'a t -> f:('a -> unit) -> unit
val fold : 'a t -> init:'accum -> f:('accum -> 'a -> 'accum) -> 'accum

fold t ~init ~f returns f (... f (f (f init e1) e2) e3 ...) en, where e1..en are the elements of t

val exists : 'a t -> f:('a -> bool) -> bool

Returns true if and only if there exists an element for which the provided function evaluates to true. This is a short-circuiting operation.

val for_all : 'a t -> f:('a -> bool) -> bool

Returns true if and only if the provided function evaluates to true for all elements. This is a short-circuiting operation.

val count : 'a t -> f:('a -> bool) -> int

Returns the number of elements for which the provided function evaluates to true.

val sum : (module Commutative_group.S with type t = 'sum) -> 'a t -> f:('a -> 'sum) -> 'sum

Returns the sum of f i for i in the container

val find : 'a t -> f:('a -> bool) -> 'a option

Returns as an option the first element for which f evaluates to true.

val find_map : 'a t -> f:('a -> 'b option) -> 'b option

Returns the first evaluation of f that returns Some, and returns None if there is no such element.

val to_list : 'a t -> 'a list
val to_array : 'a t -> 'a array
val min_elt : 'a t -> cmp:('a -> 'a -> int) -> 'a option

Returns a minimum (resp maximum) element from the collection using the provided cmp function, or None if the collection is empty. In case of a tie, the first element encountered while traversing the collection is returned. The implementation uses fold so it has the same complexity as fold.

val max_elt : 'a t -> cmp:('a -> 'a -> int) -> 'a option
include Invariant.S1 with type 'a t := 'a t
type 'a t
val invariant : 'a Invariant_intf.inv -> 'a t Invariant_intf.inv
val max_length : int

Maximum length of a normal array. The maximum length of a float array is max_length/2 on 32-bit machines and max_length on 64-bit machines.

external get : 'a t -> int -> 'a = "%array_safe_get"

Array.get a n returns the element number n of array a. The first element has number 0. The last element has number Array.length a - 1. You can also write a.(n) instead of Array.get a n.

Raise Invalid_argument "index out of bounds" if n is outside the range 0 to (Array.length a - 1).

external set : 'a t -> int -> 'a -> unit = "%array_safe_set"

Array.set a n x modifies array a in place, replacing element number n with x. You can also write a.(n) <- x instead of Array.set a n x.

Raise Invalid_argument "index out of bounds" if n is outside the range 0 to Array.length a - 1.

external unsafe_get : 'a t -> int -> 'a = "%array_unsafe_get"

Unsafe version of get. Can cause arbitrary behavior when used for an out-of-bounds array access

external unsafe_set : 'a t -> int -> 'a -> unit = "%array_unsafe_set"

Unsafe version of set. Can cause arbitrary behavior when used for an out-of-bounds array access

val create : len:int -> 'a -> 'a t

create ~len x creates an array of length len with the value x populated in each element

val init : int -> f:(int -> 'a) -> 'a t

init n ~f creates an array of length n where the ith element is initialized with f i (starting at zero)

val make_matrix : dimx:int -> dimy:int -> 'a -> 'a t t

Array.make_matrix dimx dimy e returns a two-dimensional array (an array of arrays) with first dimension dimx and second dimension dimy. All the elements of this new matrix are initially physically equal to e. The element (x,y) of a matrix m is accessed with the notation m.(x).(y).

Raise Invalid_argument if dimx or dimy is negative or greater than Sys.max_array_length. If the value of e is a floating-point number, then the maximum size is only Sys.max_array_length / 2.

val append : 'a t -> 'a t -> 'a t

Array.append v1 v2 returns a fresh array containing the concatenation of the arrays v1 and v2.

val concat : 'a t list -> 'a t

Like Array.append, but concatenates a list of arrays.

val copy : 'a t -> 'a t

Array.copy a returns a copy of a, that is, a fresh array containing the same elements as a.

val fill : 'a t -> pos:int -> len:int -> 'a -> unit

Array.fill a ofs len x modifies the array a in place, storing x in elements number ofs to ofs + len - 1.

Raise Invalid_argument "Array.fill" if ofs and len do not designate a valid subarray of a.

include Blit.S1 with type 'a t := 'a t
type 'a t
val blit : ('a t, 'a t) Blit_intf.blit
val blito : ('a t, 'a t) Blit_intf.blito
val unsafe_blit : ('a t, 'a t) Blit_intf.blit
val sub : ('a t, 'a t) Blit_intf.sub
val subo : ('a t, 'a t) Blit_intf.subo
module Int : sig .. end
module Float : sig .. end
val of_list : 'a list -> 'a t

Array.of_list l returns a fresh array containing the elements of l.

val map : f:('a -> 'b) -> 'a t -> 'b t

Array.map ~f a applies function f to all the elements of a, and builds an array with the results returned by f: [| f a.(0); f a.(1); ...; f a.(Array.length a - 1) |].

val iteri : f:(int -> 'a -> unit) -> 'a t -> unit

Like Array.iter, but the function is applied to the index of the element as first argument, and the element itself as second argument.

val mapi : f:(int -> 'a -> 'b) -> 'a t -> 'b t

Like Array.map, but the function is applied to the index of the element as first argument, and the element itself as second argument.

val foldi : 'a t -> init:'b -> f:(int -> 'b -> 'a -> 'b) -> 'b
val fold_right : 'a t -> f:('a -> 'b -> 'b) -> init:'b -> 'b

Array.fold_right f a ~init computes f a.(0) (f a.(1) ( ... (f a.(n-1) init) ...)), where n is the length of the array a.

All sort functions in this module sort in increasing order by default.

val sort : ?pos:int -> ?len:int -> 'a t -> cmp:('a -> 'a -> int) -> unit

sort uses constant heap space. stable_sort uses linear heap space.

To sort only part of the array, specify pos to be the index to start sorting from and len indicating how many elements to sort.

val stable_sort : 'a t -> cmp:('a -> 'a -> int) -> unit
val is_sorted : 'a t -> cmp:('a -> 'a -> int) -> bool
val is_sorted_strictly : 'a t -> cmp:('a -> 'a -> int) -> bool

is_sorted_strictly xs ~cmp iff is_sorted xs ~cmp and no two consecutive elements in xs are equal according to cmp

val concat_map : 'a t -> f:('a -> 'b array) -> 'b array

Like List.concat_map, List.concat_mapi.

val concat_mapi : 'a t -> f:(int -> 'a -> 'b array) -> 'b array
val partition_tf : 'a t -> f:('a -> bool) -> 'a t * 'a t
val partitioni_tf : 'a t -> f:(int -> 'a -> bool) -> 'a t * 'a t
val cartesian_product : 'a t -> 'b t -> ('a * 'b) t
val transpose : 'a t t -> 'a t t option

transpose in the sense of a matrix transpose. It returns None if the arrays are not all the same length.

val transpose_exn : 'a t t -> 'a t t
val normalize : 'a t -> int -> int

normalize array index returns a new index into the array such that if index is less than zero, the returned index will "wrap around" -- i.e. array.(normalize array (-1)) returns the last element of the array.

val slice : 'a t -> int -> int -> 'a t

slice array start stop returns a fresh array including elements array.(start) through array.(stop-1) with the small tweak that the start and stop positions are normalized and a stop index of 0 means the same thing a stop index of Array.length array. In summary, it's mostly like the slicing in Python or Matlab. One difference is that a stop value of 0 here is like not specifying a stop value in Python.

val nget : 'a t -> int -> 'a

Array access with normalized index.

val nset : 'a t -> int -> 'a -> unit

Array modification with normalized index.

val filter_opt : 'a option t -> 'a t

filter_opt array returns a new array where None entries are omitted and Some x entries are replaced with x. Note that this changes the index at which elements will appear.

val filter_map : 'a t -> f:('a -> 'b option) -> 'b t

filter_map ~f array maps f over array and filters None out of the results.

val filter_mapi : 'a t -> f:(int -> 'a -> 'b option) -> 'b t

Like filter_map but uses Array.mapi.

val for_alli : 'a t -> f:(int -> 'a -> bool) -> bool

Like for_all, but passes the index as an argument.

val existsi : 'a t -> f:(int -> 'a -> bool) -> bool

Like exists, but passes the index as an argument.

val counti : 'a t -> f:(int -> 'a -> bool) -> int

Like count, but passes the index as an argument.

val iter2_exn : 'a t -> 'b t -> f:('a -> 'b -> unit) -> unit

Functions with 2 suffix raise an exception if the lengths aren't the same.

val map2_exn : 'a t -> 'b t -> f:('a -> 'b -> 'c) -> 'c t
val fold2_exn : 'a t -> 'b t -> init:'c -> f:('c -> 'a -> 'b -> 'c) -> 'c
val for_all2_exn : 'a t -> 'b t -> f:('a -> 'b -> bool) -> bool

for_all2_exn t1 t2 ~f fails if length t1 <> length t2.

val exists2_exn : 'a t -> 'b t -> f:('a -> 'b -> bool) -> bool

exists2_exn t1 t2 ~f fails if length t1 <> length t2.

val filter : f:('a -> bool) -> 'a t -> 'a t

filter ~f array removes the elements for which f returns false.

val filteri : f:(int -> 'a -> bool) -> 'a t -> 'a t

Like filter except f also receives the index.

val swap : 'a t -> int -> int -> unit

swap arr i j swaps the value at index i with that at index j.

val rev_inplace : 'a t -> unit

rev_inplace t reverses t in place

val of_list_rev : 'a list -> 'a t

of_list_rev l converts from list then reverses in place

val of_list_map : 'a list -> f:('a -> 'b) -> 'b t

of_list_map l ~f is the same as of_list (List.map l ~f)

val of_list_rev_map : 'a list -> f:('a -> 'b) -> 'b t

of_list_rev_map l ~f is the same as rev_inplace (of_list_map l ~f)

val replace : 'a t -> int -> f:('a -> 'a) -> unit

replace t i ~f = t.(i) <- f (t.(i)).

val replace_all : 'a t -> f:('a -> 'a) -> unit

modifies an array in place -- ar.(i) will be set to f(ar.(i))

val find_exn : 'a t -> f:('a -> bool) -> 'a

find_exn f t returns the first a in t for which f t.(i) is true. It raises Not_found if there is no such a.

val find_map_exn : 'a t -> f:('a -> 'b option) -> 'b

Returns the first evaluation of f that returns Some. Raises Not_found if f always returns None.

val findi : 'a t -> f:(int -> 'a -> bool) -> (int * 'a) option

findi t f returns the first index i of t for which f i t.(i) is true

val findi_exn : 'a t -> f:(int -> 'a -> bool) -> int * 'a

findi_exn t f returns the first index i of t for which f i t.(i) is true. It raises Not_found if there is no such element.

val find_mapi : 'a t -> f:(int -> 'a -> 'b option) -> 'b option

find_mapi t f is the like find_map but passes the index as an argument.

val find_mapi_exn : 'a t -> f:(int -> 'a -> 'b option) -> 'b

find_mapi_exn is the like find_map_exn but passes the index as an argument.

val find_consecutive_duplicate : 'a t -> equal:('a -> 'a -> bool) -> ('a * 'a) option

find_consecutive_duplicate t ~equal returns the first pair of consecutive elements (a1, a2) in t such that equal a1 a2. They are returned in the same order as they appear in t.

val reduce : 'a t -> f:('a -> 'a -> 'a) -> 'a option

reduce f [a1; ...; an] is Some (f (... (f (f a1 a2) a3) ...) an). Returns None on the empty array.

val reduce_exn : 'a t -> f:('a -> 'a -> 'a) -> 'a
val permute : ?random_state:Core_random.State.t -> 'a t -> unit

permute ?random_state t randomly permutes t in place.

permute side affects random_state by repeated calls to Random.State.int. If random_state is not supplied, permute uses Random.State.default.

val zip : 'a t -> 'b t -> ('a * 'b) t option

zip is like List.zip, but for arrays.

val zip_exn : 'a t -> 'b t -> ('a * 'b) t
val unzip : ('a * 'b) t -> 'a t * 'b t

unzip is like List.unzip, but for arrays.

val sorted_copy : 'a t -> cmp:('a -> 'a -> int) -> 'a t

sorted_copy ar cmp returns a shallow copy of ar that is sorted. Similar to List.sort

val last : 'a t -> 'a
val empty : unit -> 'a t

empty () creates an empty array

val equal : 'a t -> 'a t -> equal:('a -> 'a -> bool) -> bool
val truncate : _ t -> len:int -> unit

truncate t ~len drops length t - len elements from the end of t, changing t so that length t = len afterwards. truncate raises if len <= 0 || len > length t.

val to_sequence : 'a t -> 'a Sequence.t

to_sequence t converts t to a sequence. t is copied internally so that future modifications of t do not change the sequence.

val to_sequence_mutable : 'a t -> 'a Sequence.t

to_sequence_mutable t converts t to a sequence. t is shared with the sequence and modifications of t will result in modification of the sequence.

module Permissioned : sig .. end
The Permissioned module gives the ability to restrict permissions on an array, so you can give a function read-only access to an array, create an immutable array, etc.